Verilog 카테고리 글 목록

  • Intel Quartus에서 Verilog testbench 사용하기2023. 08. 17.
    수성컴전자방입니다. 오늘은 Intel Quartus에서 Verilog testbench를 사용하여 simulation을 해보겠습니다. testbench는 다른 HDL module을 시험하기 위한 HDL 코드이며, 합성 불가능합니다. 오늘은 예제 코드 위주로 설명 드리겠습니다.
  • Verilog 기초적인 내용과 Gate Design2023. 04. 15.
    수성컴전자방입니다. 오늘은 Verilog로 AND, OR, NOT 등의 Gate를 만들어 보겠습니다. Verilog 프로그래밍에 대해 쓰는 첫 글이므로 기초적인 내용을 다루어 보겠습니다.
  • 1